智能车制作

 找回密码
 注册

扫一扫,访问微社区

查看: 28009|回复: 105
打印 上一主题 下一主题

(升级版发布)专门为电磁组开发的上位机程序

  [复制链接]

15

主题

315

帖子

0

精华

常驻嘉宾

Rank: 8Rank: 8

积分
5440

热心会员奖章优秀会员奖章活跃会员奖章论坛元老奖章资源大师奖章在线王奖章

威望
1409
贡献
3421
兑换币
109
注册时间
2011-10-9
在线时间
305 小时
跳转到指定楼层
1#
发表于 2012-3-18 17:14:35 | 显示全部楼层 回帖奖励 |倒序浏览 |阅读模式



这个程序的运行需要LabView的支持,所以,使用之前请确认你已经正确安装了LabView。
本软件属于业余开发,可能存在某些不足,敬请见谅。
如果大家在使用过程中发现漏洞,可通过邮件与本人联系,本人将在后续版本中加以改善。

本次升级说明:
    由于有人反应程序运行后,在采样过程中波形显示会发生卡而慢的现象,经个人分析后,给出了局部修正,推出新的解决方案:
    加入了校正系数一个变量参数,通过校正系数的调整,使用者可以自行整定到一个最佳显示速度。(5ms发送周期建议值在0-8之间调整,10ms发送周期建议值在2-15之间)。
    关于作者按钮只有在关闭串口时才会生效,使得采样时LabView不用测试按键状态,提高运行效率。
    至于卡的问题应该是由于CPU运算性能的差异引起的。

附上单片机送串口函数(使得采集数据能够正确被LabView识别)的伪代码:
void Sent_data(void)
{      
      SCI_TXD(0xff);
      SCI_TXD(0xff);                          //以上两个字节数据作为数据帧头
      int_angle=GYRO_IN;                 //陀螺仪采样数据
      SCI_TXD(int_angle/256);      
      SCI_TXD(int_angle%256);
      int_angle=ACCE_IN;                 //加速度计采样数据
      SCI_TXD(int_angle/256);  
      SCI_TXD(int_angle%256);  
      int_angle=ANGLE;                   //融合数据
      SCI_TXD(int_angle/256);  
      SCI_TXD(int_angle%256);
}

大家也可以自定义自己的曲线,及将发送陀螺仪加速度计的数据替换成自己希望显示的数据即可,比如测速得到的脉冲数等。

最后,希望各电磁组的参赛队都能如愿以偿,取得好成绩。

还是附上图片一张:



补充内容 (2012-4-8 22:34):
不知道大家用着效果如何?请及时反馈!

补充内容 (2012-4-22 12:13):
有发现连不上串口的请安装多LabView的VISA组件试试。

补充内容 (2012-8-14 09:53):
更新版本请见以下链接:
http://www.znczz.com/thread-105477-1-1.html
最新版本显示更多参数,并且支持负数的显示。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

15

主题

315

帖子

0

精华

常驻嘉宾

Rank: 8Rank: 8

积分
5440

热心会员奖章优秀会员奖章活跃会员奖章论坛元老奖章资源大师奖章在线王奖章

威望
1409
贡献
3421
兑换币
109
注册时间
2011-10-9
在线时间
305 小时
2#
 楼主| 发表于 2012-3-18 17:49:09 | 显示全部楼层
demon 发表于 2012-3-18 17:34
支持原创

多谢支持,有可能的话还会继续完善这个上位机程序。
回复 支持 反对

使用道具 举报

15

主题

315

帖子

0

精华

常驻嘉宾

Rank: 8Rank: 8

积分
5440

热心会员奖章优秀会员奖章活跃会员奖章论坛元老奖章资源大师奖章在线王奖章

威望
1409
贡献
3421
兑换币
109
注册时间
2011-10-9
在线时间
305 小时
3#
 楼主| 发表于 2012-3-18 19:01:48 | 显示全部楼层
tianyi12 发表于 2012-3-18 18:41
顶,太强了!

其实这个并不难,只要你用点心学下LabView.
回复 支持 反对

使用道具 举报

15

主题

315

帖子

0

精华

常驻嘉宾

Rank: 8Rank: 8

积分
5440

热心会员奖章优秀会员奖章活跃会员奖章论坛元老奖章资源大师奖章在线王奖章

威望
1409
贡献
3421
兑换币
109
注册时间
2011-10-9
在线时间
305 小时
4#
 楼主| 发表于 2012-3-18 19:57:01 | 显示全部楼层
1002828597 发表于 2012-3-18 19:51
2.0版还是2.8版

2.x统称2.0版,因为内核没有大的改变,所以不称之为3.x版。
而且图片Photoshop制作的,2.x每个版本换张图,那还不麻烦死了,对吧?!
回复 支持 反对

使用道具 举报

15

主题

315

帖子

0

精华

常驻嘉宾

Rank: 8Rank: 8

积分
5440

热心会员奖章优秀会员奖章活跃会员奖章论坛元老奖章资源大师奖章在线王奖章

威望
1409
贡献
3421
兑换币
109
注册时间
2011-10-9
在线时间
305 小时
5#
 楼主| 发表于 2012-3-26 20:16:12 | 显示全部楼层
马克图布 发表于 2012-3-26 19:38
那我用8.6版本的怎么打不开啊

打不开?不太可能吧?!
很多人反映都是可以运行的。
如果是打开了程序但是出不来波形,可以安装LabView的VISA组件再试试。
回复 支持 反对

使用道具 举报

15

主题

315

帖子

0

精华

常驻嘉宾

Rank: 8Rank: 8

积分
5440

热心会员奖章优秀会员奖章活跃会员奖章论坛元老奖章资源大师奖章在线王奖章

威望
1409
贡献
3421
兑换币
109
注册时间
2011-10-9
在线时间
305 小时
6#
 楼主| 发表于 2012-3-27 21:32:52 | 显示全部楼层
马克图布 发表于 2012-3-27 20:43
打开有问题啊??求解。。。

在正文已经有说明了,该程序运行需要LabView支持,请确认你已正确安装了该软件。
回复 支持 反对

使用道具 举报

15

主题

315

帖子

0

精华

常驻嘉宾

Rank: 8Rank: 8

积分
5440

热心会员奖章优秀会员奖章活跃会员奖章论坛元老奖章资源大师奖章在线王奖章

威望
1409
贡献
3421
兑换币
109
注册时间
2011-10-9
在线时间
305 小时
7#
 楼主| 发表于 2012-3-27 21:33:42 | 显示全部楼层
广东-庭良 发表于 2012-3-27 20:47
请问为什么我找不到通讯口的?我用串口助手可以找到。

这个我就不清楚了,我是没遇到类似问题的。
回复 支持 反对

使用道具 举报

15

主题

315

帖子

0

精华

常驻嘉宾

Rank: 8Rank: 8

积分
5440

热心会员奖章优秀会员奖章活跃会员奖章论坛元老奖章资源大师奖章在线王奖章

威望
1409
贡献
3421
兑换币
109
注册时间
2011-10-9
在线时间
305 小时
8#
 楼主| 发表于 2012-3-31 14:55:37 | 显示全部楼层
bhwxl 发表于 2012-3-30 21:50
请问一下楼主,我下了一个VISA4.4.1装上还是用不了,还差了什么啊?求教

两个版本的都打不开么?
这个新版的我自己倒是没在其他机子上运行测试过。
回复 支持 反对

使用道具 举报

15

主题

315

帖子

0

精华

常驻嘉宾

Rank: 8Rank: 8

积分
5440

热心会员奖章优秀会员奖章活跃会员奖章论坛元老奖章资源大师奖章在线王奖章

威望
1409
贡献
3421
兑换币
109
注册时间
2011-10-9
在线时间
305 小时
9#
 楼主| 发表于 2012-4-7 12:14:03 | 显示全部楼层
mengqinglei 发表于 2012-4-7 00:19
是不是波特率小的时候,校正系数就要大一点啊,我用2400的波特率,校正系数调到30,还是很卡,况且滤波融合 ...

2400的波特率未免也太慢了吧?!我这个程序应该是波特率比较大的时候效果才会比较好的,而且发送周期越小越好。
回复 支持 反对

使用道具 举报

15

主题

315

帖子

0

精华

常驻嘉宾

Rank: 8Rank: 8

积分
5440

热心会员奖章优秀会员奖章活跃会员奖章论坛元老奖章资源大师奖章在线王奖章

威望
1409
贡献
3421
兑换币
109
注册时间
2011-10-9
在线时间
305 小时
10#
 楼主| 发表于 2012-4-8 22:35:45 | 显示全部楼层
不知道大家用着效果如何?
回复 支持 反对

使用道具 举报

您需要登录后才可以回帖 登录 | 注册

本版积分规则

关于我们|联系我们|小黑屋|亿加合和智能车制作 ( 黑ICP备2022002344号

GMT+8, 2024-5-4 16:11 , Processed in 0.071423 second(s), 28 queries , Gzip On.

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回复 返回顶部 返回列表