智能车制作

 找回密码
 注册

扫一扫,访问微社区

查看: 7409|回复: 10
打印 上一主题 下一主题

XS128外部H7口J0口中断例程

[复制链接]

7

主题

90

帖子

0

精华

中级会员

Rank: 3Rank: 3

积分
421
威望
337
贡献
54
兑换币
0
注册时间
2009-12-25
在线时间
15 小时
跳转到指定楼层
1#
发表于 2010-2-26 13:22:40 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
XS128外部H7口J0口中断例程
[ 2009-4-19 4:58:00 | By: 龙丘 ]
  
0
推荐/********************************************
龙丘智能车多功能开发平台
Designed by Chiu Sir
E-mail:chiusir@163.com
软件版本:V1.0
最后更新:2009年4月19日
相关信息参考下列地址:
博客:  http://longqiu.21ic.org
淘宝店:http://shop36265907.taobao.com
------------------------------------
Code Warrior 5.0
Target : MC9S12XS128
Crystal: 16.000Mhz
busclock:16.000MHz
pllclock:32.000MHz   

使用说明:
H7,J0外部中断演示,分别亮灭PB0和PB2。
============================================*/
#i nclude "derivative.h"
#i nclude <stdio.h>
#i nclude <string.h>  
#i nclude "LQfun.h"  
#i nclude "LQ12864.h"   

#pragma CODE_SEG __NEAR_SEG NON_BANKED
void interrupt 24 PORTJ_ISR(void)
{         
  PORTB_PB0=~PORTB_PB0;
  PIFJ_PIFJ0=1;   //清除中断标志位
}
#pragma CODE_SEG __NEAR_SEG NON_BANKED
void interrupt 25 PORTH_ISR(void)
{
  PORTB_PB2=~PORTB_PB2;
  PIFH_PIFH7=1;   //清除中断标志位        
}
static void Port_Init(void)
{  
    DDRA = 0xff;  //LCD1100,PA0--4,PA67 D1D2
    PORTA= 0x00;   
      
    DDRB = 0xff;  //LED  PTB0--7,
    PORTB= 0xff;  //LEDs on  
   
    DDRE = 0xFF;  //MOTOR CONTROL
    PORTE= 0x00;  //P      
   
    DDRH = 0x00; // PORTH input
    PTIH = 0X00; // KEY,PH0--5
    PERH = 0xff; // PORTH pull up  
    PPSH = 0x00; // Port H Polarity Select Register-falling edge
    PIEH = 0x80; // PORTH interrut disable,
                 // PH7判断奇偶场换场信号
   
    DDRJ = 0X00; // PJ0判断行同步脉冲到达
    //PPSJ = 0x01; // Port J Polarity Select Register-rising EDGE
    PPSJ = 0x00; // Port J Polarity Select Register-falling EDGE   
    PIEJ = 0X01; // VIDEO SYNC INTERRUPT DISABLED,BUT NOT IN MAIN()
    PERJ = 0xff;
   
    DDRM = 0XFF; // motor2的驱动模块MC33886的初始化子程序
    PTIM = 0X01; // ENM0=0,operating run;
    PERM = 0xff; // EN:PM0=1,full run;
   
    DDR1AD0=0xF8;
    PT1AD0 =0xFF;
}
void SetBusCLK_32M(void)
{   
    CLKSEL=0X00;    // disengage PLL to system
    PLLCTL_PLLON=1;   // turn on PLL
    SYNR =0x40 | 0x03;  // pllclock=2*osc*(1+SYNR)/(1+REFDV)=64MHz;                     
    REFDV=0xc0 | 0x01;
    POSTDIV=0x00;  
    _asm(nop);          // BUS CLOCK=32M
    _asm(nop);
    while(!(CRGFLG_LOCK==1));   //when pll is steady ,then use it;
    CLKSEL_PLLSEL =1;          //engage PLL to system;  
}
void Dly_ms(int ms)
{
   int ii,jj;
   if (ms<1) ms=1;
   for(ii=0;ii<ms;ii++)
     //for(jj=0;jj<1335;jj++);  //16MHz--1ms
     for(jj=0;jj<2770;jj++);  //32MHz--1ms
     //for(jj=0;jj<4006;jj++);  //48MHz--1ms
     //for(jj=0;jj<5341;jj++);    //64MHz--1ms  
}

//============================MAIN()===========================
void main(void)
{
  /* put your own code here */
  byte i=0;
  
  Port_Init();            // initial board
  SetBusCLK_32M();  
  PORTB=0XFF;
  EnableInterrupts;
  for(;;)
  {
     Dly_ms(500);
     PORTB_PB1=~PORTB_PB1;
  }
  /* please make sure that you never leave this */
}

0

主题

64

帖子

0

精华

中级会员

Rank: 3Rank: 3

积分
449
威望
331
贡献
80
兑换币
52
注册时间
2009-2-12
在线时间
19 小时
2#
发表于 2010-2-26 14:08:16 | 只看该作者
好东西!谢谢!
回复 支持 反对

使用道具 举报

0

主题

64

帖子

0

精华

中级会员

Rank: 3Rank: 3

积分
449
威望
331
贡献
80
兑换币
52
注册时间
2009-2-12
在线时间
19 小时
3#
发表于 2010-2-26 14:08:20 | 只看该作者
好东西!谢谢!
回复 支持 反对

使用道具 举报

5

主题

73

帖子

0

精华

高级会员

Rank: 4

积分
868
QQ
威望
326
贡献
506
兑换币
0
注册时间
2009-12-18
在线时间
18 小时
4#
发表于 2010-3-3 12:10:49 | 只看该作者
谢了
回复 支持 反对

使用道具 举报

11

主题

102

帖子

0

精华

高级会员

Rank: 4

积分
870
威望
309
贡献
303
兑换币
14
注册时间
2009-10-7
在线时间
129 小时
5#
发表于 2010-3-6 20:32:49 | 只看该作者
不错!
回复 支持 反对

使用道具 举报

1

主题

25

帖子

0

精华

中级会员

Rank: 3Rank: 3

积分
266
威望
198
贡献
24
兑换币
2
注册时间
2009-9-28
在线时间
22 小时
6#
发表于 2010-3-7 10:12:06 | 只看该作者
支持龙丘
回复 支持 反对

使用道具 举报

7

主题

90

帖子

0

精华

中级会员

Rank: 3Rank: 3

积分
421
威望
337
贡献
54
兑换币
0
注册时间
2009-12-25
在线时间
15 小时
7#
 楼主| 发表于 2010-3-9 10:53:20 | 只看该作者
大家共同学习 共同进步
回复 支持 反对

使用道具 举报

1

主题

112

帖子

0

精华

中级会员

Rank: 3Rank: 3

积分
381
威望
321
贡献
26
兑换币
0
注册时间
2009-11-30
在线时间
17 小时
8#
发表于 2010-3-10 17:49:29 | 只看该作者
xiexie
回复 支持 反对

使用道具 举报

4

主题

114

帖子

0

精华

金牌会员

Rank: 6Rank: 6

积分
1487
威望
360
贡献
1085
兑换币
0
注册时间
2010-7-24
在线时间
21 小时
9#
发表于 2010-11-27 14:47:22 | 只看该作者
  大家共同学习 共同进步
回复 支持 反对

使用道具 举报

13

主题

183

帖子

0

精华

金牌会员

Rank: 6Rank: 6

积分
1141

热心会员奖章活跃会员奖章优秀会员奖章

威望
666
贡献
335
兑换币
143
注册时间
2011-4-2
在线时间
70 小时
10#
发表于 2011-7-15 01:04:32 | 只看该作者
好东西!谢谢!
回复 支持 反对

使用道具 举报

您需要登录后才可以回帖 登录 | 注册

本版积分规则

关于我们|联系我们|小黑屋|亿加合和智能车制作 ( 黑ICP备2022002344号

GMT+8, 2024-5-5 13:54 , Processed in 0.155769 second(s), 31 queries , Gzip On.

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回复 返回顶部 返回列表